Annealing in metallurgy, and material science, is a heat treatment wherein, a material is altered, causing a change in its properties such as strength, and hardness. A second beam can be added to form a dual beam system that allows more flexibility to adjust the temperature profiles, and expands the process capability to low T and long dwell time. The thickness of the internal oxide layer is determined by the highest temperature reached by the surface of the metal during its heating; however, in most instances, it will remain below 3000 A. Mounted on an X-Y stage, the wafer is scanned under a stationary, shaped laser beam to locally heat and anneal exposed areas as . LSA can be applied to form low Rc Ti/Si contact. - Activate implanted dopants. Beamline scientist Detlef Smilgies configured the beamline for microbeam GISAXS, providing a spatial resolution of 15 microns, at a small-angle scattering resolution of up to 40 nm [2]. Since 1994, Verdant, originally sponsored by Sematech and DARPA in addition to Ultratech, has had 25 patents issued and has applied for 40 more. Hence a single laser spike annealed trace provides a cross section of the thermal history of the annealing process. These produce higher performing devices with improved drive currents and/or lower leakage currents, and provide design engineers more opportunities for product . Doping profiles have been . 274 0 obj <>stream %PDF-1.4 % <]>> No other LSA tool on the market can do this. By using our websites, you agree to placement of these cookies and to our. Spike annealing was performed in a Mattson RTP sys-tem with a maximum temperature of 1000 C-1050 C. For laser spike annealing temperatures above 1000 C , mobility is found to degrade due to partial relaxation and dislocation formation in the Si <sub>0.3</sub> Ge <sub>0.7</sub> channel. Join now to see all activity Experience Litho Equipment Engineer Thermo Fisher Scientific . n+c(]x>"hv3&m bW+1+xrA$udaooeD NUB,b@K7v |`4$;De3;Z t1O+uX|1FzBanN4{fU1 K8 In addition to the traditional dopant activation and impurity profile control, MSA can also be used for interface engineering and material property modifications (structural, electrical, chemical, and mechanical). of 10-8 -cm2 is used. Annealing can effectively reduce the number of defects and the carrier concentration in ZnO films, thus increasing the driving force of oxygen vacancy drifting and . 0000019585 00000 n Laser Spike Annealing for FinFETs Jeff Hebb, Ph.D. Julyy, 11, 2013 1 NVVAVS West Coast JunctionTechnology Group Meeting July 11, 2013. We place cookies on your device to give you the best user experience. Laser technology has come a long way since the introduction of the first laser in 1960. 0000005110 00000 n High temperature promotes phase mixing of Si-rich Ni silicide at the silicide/Si interface and lowers Schottky barrier height (SBH). By YUN WANG, Ph.D., Ultratech, San Jose, CA. The Infona portal uses cookies, i.e. 1 and 2, respectively. Temperature profiles were carefully calibrated in the lab for different laser power levels and dwell times. Adobe d "The other thing that is critical to device fabrication is that the junctions have to be more abrupt," Talwar said. How does Peak to Valley (PV) and Root Mean Square (RMS) affects the quality of your optic? Schematic of the experimental setup for R C measurements via laser spike annealing. Medical computer scientists calculated the . Clinicians annotated OCT images regarding BCC diagnosis, subtype and tumor thickness. WL LI works at Institute of Frontier and Interdisciplinary Scienc and is well known for Residual Stress, Rectangular Plate and Cylindrical Shells. (A. M. Maxam and W. Gilbert, Methods of Enzymology, 1980, 65: 499-560), matrix-assisted laser desorption ionization time-of-flight (MALDI . The disclosure is directed to laser spike annealing using fiber lasers. The European semiconductor equipment market is expected to grow along with the world market. Thermal annealing is necessary to repair implant damage and activate dopants in pre silicide implantation scheme, and to drive-in dopants in post silicide case. Privacy and Other Terms | Legal Notices. Veeco's new, SEMI-compliant facility serves as the company's center of excellence for the development and production of laser annealing . We have reviewed various applications of millisecond annealing for advanced device fabrication. Based on laser-annealing technology developed at Stanford University (Stanford, CA) and Lawrence Livermore National Laboratory (Livermore, CA), engineers at Ultratech Stepper (San Jose, CA) have progressed to an alpha product level in a tool to fabricate significantly shallower and more abrupt transistor junctions than are currently available through rapid thermal processing (RTP). W:R9nsLvc{C"Nwc;sf={@ySY_[UYEN]@{'RS1q%}O> %7Q{Y:-1Z85@Rj X07? 18, 697701 (2011). - Short wavelength laser is optimum - mostly absorbs energy in the top few nm. FIGURE 2. 2017Nov 1 - Dec 21 This results in improved activation. The user team acquired close to 100,000 images on a fast Pilatus 200k detector corresponding to 3 sample materials with ~40 laser traces each. Flash annealing was performed with a peak temperature of 1256 C-1322 C. The low T regime enables applications that require lower substrate and peak annealing temperatures, such as annealing of advanced silicide or new channel/gate stack materials that have poor thermal stability. Low thermal budget processing by MSA provides a way to alleviate this issue. 1. Executive-level communication, Ghost Writing: Speak with Bhavik Vasha, VP of Quality at Veeco San Jose about the Quality Checkup. Laser-spike annealing (LSA), developed by Cornell researchers backed by Semiconductor Research Corp. (Research Triangle, N.C.) , has already been tested for both 193-nanometer immersion lithography and 13-nm extreme ultra violet (EUV). A pioneer of laser processing, Ultratech developed laser spike anneal technology, which increases device yield, improves transistor performance and enables the progression of Moore's Law for 32-nm and below production of state-of-the-art consumer electronics. Ultratech's LSA101 laser spike anneal systems will be used for 40-nm and 28-nm production. The Infona portal uses cookies, i.e. However, typical thermal annealing is slow, and polymers may degrade at high annealing temperature. Between these two technologies, the choice is not always easy. Figure 1: (a) Laser spike annealing procedure and (b) microbeam GIXAXS characterization of the polymer film within an annealed trace. In addition to front-end and middle-of-line applications, there are also opportunities at the back-end. The latter shows much slower ramp down. "Instead of having to expose complete dyes, we would introduce a lithography component, so we could in fact expose individual transistors," Talwar said. In the future, thermoreflectance imaging can be exploited to understand not only impurity interaction in IIIV and IIIN materials, but also to explore kinetics and phase transformations in metastable materials. FIGURE 3 shows different LSA annealing temperature-time (T-t) regimes that can be used to meet various application needs. Some features of this site may not work without it. In this work, we will compare junction performance and integratablity of fast-ramp spike, flash, SPER and laser annealing down to 45nm CMOS. The study shows that both disordering (Figure 3a) and ordering (Figure 3b) can be kinetically suppressed at sub-millisecond timescales. FIGURE 6. 3 !1AQa"q2B#$Rb34rC%Scs5&DTdEt6UeuF'Vfv7GWgw 5 !1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ? The waveforms of each of these reflections are out of phase and will have different wavelengths. Short dwell time is beneficial for reducing wafer warpage and litho misalignment, especially for devices with high strain. (NIRvana 640ST, Princeton Instruments, 512 640 pixels). Surface cooling in the millisecond time scale is dominated by conductive heat dissipation through the lower temperature substrate, which is several orders of magnitude faster than radiation heat loss or convection cooling through surfaces. Recently, Ti re-emerged as an option for contact metal because of better thermal stability and potential lower SBH. :R*6+F]o8LQP(Z>&iQ(.`l"99`*\=!Xs/1j% "+r=J(LPIE$dJ-22k'r&.a0F_-,} 4` > endobj 444 0 obj <> endobj 445 0 obj <>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageB/ImageC]/ExtGState<>>> endobj 446 0 obj [/ICCBased 460 0 R] endobj 447 0 obj <> endobj 448 0 obj <>stream 0000018533 00000 n 9 From the practical point of view, the laser-based annealing technique has difficulties in uniform heating of large areas. The excitation laser beam (640 nm, continuous-wave, OBIS, Coherent) was expanded with . The Cornell High Energy Synchrotron Source is a high-intensity X-ray source which provides our users state-of-the-art synchrotron radiation facilities for research in Physics, Chemistry, Biology, and Environmental and Materials Sciences. Ultratech acquired technology and a research team in 1994 from Lawrence Livermore Labs focused on developing a projection laser-anneal process. By replacing the 2nd RTA with a high temperature MSA (700~900C), it can reduce leakage as well as improve performance. Laser spike annealing is a high-temperature (above 1300 C) heat treatment technique that activates the dopants in GaN and repairs damage done during the implantation process. 0000006122 00000 n xref This article will explain how LSA technology plays an enabling role to overcoming manufacturing challenges for sub-20nm logic devices. Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. Julyy, 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014. A modified scheme to tune the Schottky Barrier Height (SBH) of NiSi effectively by means of dopant segregation (DS) technique is presented. GaN Compounds; III-V Semiconductors; Raman Spectroscopy; Thermoreflectance; Chemical engineering; Thermal Imaging; Materials Science; Laser Annealing. SAN JOSE, Calif., Oct. 22, 2012 /PRNewswire/ -- Ultratech, Inc. (Nasdaq: UTEK ), a leading supplier of lithography and laser-processing systems used to . As the layer gets thicker, more light is absorbed (and less is reflected). 380v corn puff making machine. 2018Proposal/BTR deadline: 12/1/17 It can also be used to improve activation and fine tune the junction depth. Figure 1a schematically shows the laser spike annealing procedure, where a focused laser beam from a 120 W infrared CO2 laser (=10.6 m) is swept across the sample. Flash usually requires higher backside heating temperature than the laser option. 0000002958 00000 n In everyday life, this phenomenon can be seen on soap bubbles that look colored. Similar to the laser spike annealing system, the LM7 is also based on two laser sources, but provides annealing on a much shorter, nanosecond scale - meaning far less heat is transferred. Using MSA instead of RTA results in more precise dopant profile control, higher dopant concentration at the interface and less potential silicide defectivity, due to the lower thermal budget. All Rights Reserved. ,. www.laserfocusworld.com is using a security service for protection against online attacks. Temperatures were calibrated using optical functions of bulk Si with effects of black-body radiation emission captured at longer wavelengths. 0000005899 00000 n %%EOF . Lower leakage and better surface morphology are also observed in hafnium-based, high-k films when annealed by a laser. Installed at leading IDMs and Foundries globally, Veecos LSA101 System is the preferred technology for high-volume manufacturing of advanced logic devices from the 40nm to 14nm nodes. With laser annealing, it is possible to color the surface of very specific metals: steel, titanium and stainless steel. [2] Ruipeng Li, Sterling Cornaby, Marleen Kamperman, and Detlef-M. Smilgies: "Nanocomposite Characterization on Multiple Length Scales Using SAXS", J. Synchrotron Rad. The following science pages will show how X-rays have become a mainstream tool for examining the structure and function of our material world, with an eye towards designing new materials, solving technological problems, and even curing diseases. %%EOF Constructing spike-like energy band alignment at the heterointerface . As FinFETs shrink, interface contact resistance, Rc, becomes more critical (FIGURE 5). With MSA, because of the short duration, agglomeration does not occur until ~900C. Goal is to outrun damage to the polymer by employing ultrafast heating and cooling rates. Laser annealing is very different from other laser marking mechanisms readLaser Etching, Engraving & Annealing: What'sthe Difference? Manufacturers who need permanent markings for traceability typically have two options: dot peen marking or laser marking. The heat dissipation is between two-dimensional (2D) and three-dimensional (3D) (2D for an infinitely long line beam, and 3D for a point source). trailer 2018Proposal/BTR deadline: 2/1/18. Figure 2: Typical microbeam GISAXS image and intensity profile integrated over the dashed white rectangle and after background subtraction. These devices must be the most advanced, most reliable chips available to meet the requirements of todays computing needs. 0000002069 00000 n (KrF) laser beam with a pulse duration of 38 ns. endstream endobj 264 0 obj <> endobj 265 0 obj <> endobj 266 0 obj <> endobj 267 0 obj <> endobj 268 0 obj <> endobj 269 0 obj <> endobj 270 0 obj <>stream DOE Offi The next steps will be to work with customers on demonstrating functioning circuits and on placing tools in the field. xSkHSa~]Nkc8`ek65QiC~IABq:,3VS)Zaob7K%4L~r>y} O ZX4-HW2|]HO*6k@WEn9~l+)c/S-?B#'8B*WxrJ~axb&gxHA[C&DT4n:&[~6(QJ]Xu:{^s};_3]-QAZ2k\*ZN|WyVf@6'$joA =xY)Q99CE7,[y}bi5Lr9q4lo|}U5uyr)Fga!QF)VlTsC7X;]LhzpKx+`)&ldV{IIHblKeag+7dNBS]na !ANXF The full width at half maximum of the laser trace is about mm wide, and can thus be resolved spatially with the x-ray microbeam of 15 m (Figure 1b). The method can effectively reduce . The portal can access those files and use them to remember the user's data, such as their chosen settings (screen view, interface language, etc. "In that short time at those temperatures, the dopant atoms are accelerated onto lattice sites. strings of text saved by a browser on the user's device. 0000001364 00000 n Parasitic resistance components for different nodes of FinFET, calculated using an analytical model. During laser annealing, thermodynamic limits were also approached including materials decomposition and damage, which ultimately limited full characterization of the activation behaviors. Conversely, for material annealed at high temperature for long enough duration, the resultant morphology is purely quench determined. 0000003662 00000 n The gaseous ambient for both spike and ash . Abstract: Laser spike annealing (LSA) is a disruptive technology which has been successfully demonstrated for advanced junction engineeringcreating highly activated ultra-shallow junctions with near diffusion-less boundaries. Ultratech's LSA201 LSA system built on the highly customizable Unity Platform includes a patented micro chamber for full-wafer, ambient control for processing . Long dwell time (2~40ms) adds more thermal budget for defect curing. With the laser system the polymer can be heated way past the temperature at which the polymer would decay if held at that temperature long enough. The metal begins to oxidize internally. We doesn't provide 380v corn puff making machine products or service, please contact them directly and verify their companies info carefully. For short-wavelength 1.E-05 MSA tools such as Flash Anneal (FA) or diode laser annealing (a) (a) (DL) the WID temperature range can be anywhere from 100- 1.E-06 250oC, and is highly dependent on device layout. To better understand the annealing windows, we developed an insitu characterization technique which matches well with laser annealing for combinatorial and highthroughput characterization; this capability significantly enhances the characterization kinetic dopant activation limitations of IIIV and IIIN materials. \Ik.8p2h0,`j R3\s1aqfL\ *t60O!_|AA@0205e 3 a In response to increasingly complex process demands, Veeco developed a dual beam technology which expands the application space of non-melt laser annealing and features a second low-power laser beam to enable low-temperature processing. Demystifying 3D Printing Resolution, Accuracy, and Precision. 0000000016 00000 n Laser Spike Annealing 101. ", https://www.facebook.com/pages/Laser-Focus-World/126899915297, https://www.linkedin.com/showcase/laser-focus-world, Meta-optics breakthrough makes hologram devices possible, BMF Announces High Throughput Micro-Precision 3D Printer, Boston Micro Fabrication (BMF) announced a new 3D printer, the microArch S350.. BMF specializes in 3D printers with extreme resolution, suitable for p, The Impact and Mitigation of Thermal Effects in High-Precision Laser Scan Heads, About the Webinar. [2] Ruipeng Li, Sterling Cornaby, Marleen Kamperman, and Detlef-M. Smilgies: "Nanocomposite Characterization on Multiple Length Scales Using SAXS", J. Synchrotron Rad. Peak temperatures in the laser trace were chosen to be close or above the order-disorder temperature of the block copolymer. The time-resolved PL (TRPL) decay transients were measured using a picosecond pulse diode laser (EPL-470). The study shows that both disordering (Figure 3a) and ordering (Figure 3b) can be kinetically suppressed at sub-millisecond timescales. R. Colin Johnson, Laser-spike annealing could boost litho, EE Times, October 2012. https://www.eetimes.com/laser-spike-annealing-could-boost-litho/. Veeco's leading laser spike annealing (LSA) technology is a key differentiator for leading semiconductor manufacturers due to its low thermal budget, Liked by Kui Lin. Y. Wang, S. Chen, M. Shen, et al. It is particularly interesting for applications in which rust buildup or bioburden must be kept to a minimum. Inset shows details magnified around peak temperature. When developing a laser processing system, the motio, Camera range available with a microlens option, Wearable device promises easier glucose monitoring, Four-axes stages suited for laser scanning, drilling, and machining, Handheld aluminum analyzers use laser-induced breakdown spectroscopy, Electron photodetector offers electron responsivity down to 100 eV. The wafer backside is typically heated by a hot chuck or lamps to reduce the front surface peak temperature jump, and in some cases, to reduce the flash lamp power requirement or facilitate laser light absorption. Within this profile the . Prezioso et al. annealing (Fig. The marking process can generate different colors: blues, browns and yellows. We use a CO 2 laser with a 60 W continuous wave (CW) maximum output, operating at a wavelength of 10.6 m . pulsed laser deposition [91,92], . Our dual-beam technology was designed to eliminate the need for dopant deactivation. The impact of MSA on HKMG is thinner equivalent oxide thickness (EOT) due to reduced interfacial layer growth from a lower thermal budget. In positionin, Achieving Ultralow-Loss Photonics Array Alignment, About the Webinar. This book offers after an historical excursus selected contributions on fundamental and applied aspects of thermal processing of classical elemental semiconductors and other advanced materials including nanostructures with novel . The junction depth, abruptness and resistance offered by each approach are balanced against device uniformity, deactivation and leakage. 1w ZAWe 1K=5aYeZT-mc!J [3-fBE0hg'`V6_1*Jf.^Sc&_e"%{NW0- Previous studies have shown that such configuration has benefits of reduced pattern density effect compared to short wavelength with near normal incidence. MSA can be implemented either by a scanning laser or a bank of flash lamps (FIGURE 1). 0000018343 00000 n 0000004887 00000 n Over the last decade, new process technologies and materials have emerged, such as strained silicon, high-k/metal gate (HKMG) and advanced silicide. Comparison of simulated temperature profiles between long dwell laser and flash annealing. By leveraging its core competencies in optics engineering, system integration and extensive knowledge of laser processing, Ultratech has developed two revolutionary technologies -Laser Spike Annealing (LSA) and Laser Thermal Processing (LTP) - to enable thermal annealing solutions at the 65 nm technology node and beyond. LSA provides the solution. individual spectra. With MSA, junctions with enhanced activation and reduced diffusion can be obtained. "There was a commonly held perception that problems related to varying wafer surface geometries were impossible to solve," Talwar said. Beamline scientist Detlef Smilgies configured the beamline for microbeam GISAXS, providing a spatial resolution of 15 microns, at a small-angle scattering resolution of up to 40 nm [2]. The METHODS FOR OVERLAY IMPROVEMENT THROUGH FEED FORWARD CORRECTION patent was assigned a Application Number # 13906955 - by the United States Patent and Trademark Office (USPTO). !,\8'9<5oRmy+$%q?\Yg gVdR2xW\%p Au"C4q,xV'3DE"jy$o.1iHWfnc4A ':]!9FyE2iq{8d}\KAFc&Zxu?g%#JU~Ct1` ' =~XFJ[2=!>Q0*.JjUMqcI` #X _p?Vu)YF6m Uw\d*wJx! ^B^bF)4D0eBVUH cI"A2>OtXe$SS2 I 5 3*7@ :^a] CHESS is operated and managed for the National Science Foundation by Cornell University. Ultratech, Inc. Laser spike annealing and its application to leading-edge logic devices, Proceedings of 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors, 2. - Heat transfer dynamics to underlying layers. "So the work we have done is in developing processes to integrate the laser-annealing technology into a complementary metal-oxide semiconductor process," Talwar said. Activation can be improved using MSA in combination with additional implantation. Witha strong commitment to education,CHESS provides experiences to students, educators and the public that make science familiar and accessible. Since the thermal stress is localized, the backside can be chucked to facilitate heat sinking. Then we move on to the next dye and expose that. See the image below. LSA Flash Anneal / Diode Laser RTP uses lamp sources to heat the silicon very quicklyon the order of secondsto temperatures of about 1000C, Talwar said. Annealing is used to induce softness, relieve internal stress, and to refine the structure. In fact, we are the only solution provider that delivers all advanced anneal requirements. Activation levels measured by SSRM, however, are lower for both samples, and the peak carrier concentration value increases only slightly upon spike annealing, going from ~2E20/cm 3 in sample D02 to ~2.2E20/cm 3 in sample D03. lAzMuC-NE/s0u)]s # Because laser-spike annealing (LSA) activates S/D dopants within local and selective areas in a short time, LSA has been extensively used to moderate thermal issues. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown. We continuously strive to improve our systems to meet continuously evolving requirements. 0000004641 00000 n 0000003342 00000 n The 1st RTA (200~300C) forms Ni-rich silicide, and the 2nd RTA (400~500C) after selective etch of un-reacted Ni forms the desired low resistance NiSi phase. In both cases, a reduced volume of substrate is heated to high temperature by a powerful light source, which results in fast temperature ramping compared to conventional RTP. By tightly controlling the laser parameters, beautiful colors can be achieved, as can be seen in the image below. startxref "To date, we have demonstrated transistors down to 30 nm using the technology, and at this point we are ready to demonstrate circuits as well," he said. tion. We pulse the laser. Thank you for subscribing to our newsletter! Conversely, for material annealed at high temperature for long enough duration, the resultant morphology is purely quench determined. 1D-E. Spike in experiments for FAM101A and FAM101A AS. A complementary approach for temperature profiling of LSA was also developed using a thermoreflectance imaging technique. This becomes challenging for conventional annealing processes. This knowledge allows better design of polymer annealing processes in applications such as directed self-assembly (DSA) and could open the door for block copolymer spatially templated chemistry using laser spike annealing. t.)\5K9>0* MRt}RNf2:Ln `$^/;m&D !~ZhJ-Z.i%`\&W)p]Lh!' Thermal annealing is a standard method for bringing block copolymer films into their thermal equilibrium morphology. Laser-annealing technology is about four decades old, but was generally considered to be incapable of handling the spatial nonuniformities on a semiconductor wafer full of varying device geometries. The splitting of the waves occurs by differential reflections. Weve been teetering on the brink of digital transformation for a while, and the COVID-19 pandemic pushed us right over the edge. However, typical thermal annealing is slow, and polymers may degrade at high annealing temperature. The metal begins to oxidize internally. Alan Jacobs from Mike Thompsons group and Clemens Liedel from Chris Obers group, both at the Department of Materials Science and Engineering, brought samples to CHESS D1 station for a detailed analysis of laser annealed traces. It uses coherent optics to generate a longer, more focused laser beam at the wafer plane, increasing throughput by approximately 200% compared to its predecessor, the LSA100A. In fact, the marking does not change the surface roughness of the metal surface. 257 18 The semiconductor industry is in the midst of one of the biggest transitions of its time. The same goes for advanced logic and memory architectures. LSA technology uses a long wavelength p-polarized CO2 laser with Brewster angle incidence. Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Therefore, the parameters of the writing and passivation need to be optimized in order to create a high-quality marking and ensure that the metal is still protected from corrosion. 40 505 10 15 Time of Unroofing Paleodepth of 120C paleoisotherm Exhumed partial annealing zone Exhumed partial annealing zone-- distinctly shorter mean track lengths Long tracks only--all pre-2 Ma . Temperature profiles were carefully calibrated in the lab for different laser power levels and dwell times. - Thermal stress. The term "amplification conditions", as used herein, refers to conditions that promote annealing and/or extension of primer sequences. The service requires full JavaScript support in order to view this website. ~Ot2_'x:&T(i Nx[,9;KeB2|556g8]ci[5C;O Because we understand the importance of improving within-die and die-to-die uniformity in high volume manufacturing, weve focused tool capabilities that monitor and control peak anneal temperature to reduce pattern dependency. "The transition from an n-type doped area to a p-type doped area has to be as narrow as possible, and with the laser-annealing technology it can be made almost atomically abrupt. 0000004877 00000 n By YUN WANG, Ph.D., Ultratech, San Jose, CA. This scheme consists of the following steps: (1) The deposited Ni films undergo a rapid thermal anneal (RTA1) at 300 C/60 s to form Ni-rich silicide followed by removal of un-reacted Ni; (2) implant boron (B) or arsenic (As) into pre-formed Ni-rich . 0000001819 00000 n When using dual beam a second wider laser beam is incorporated to preheat the wafer. Copyright 2017 Cornell High Energy Synchrotron Source, CHESS/Wilson Lab|Cornell University|161 Synchrotron Drive|Ithaca, NY 14853|607-255-7163.